gorchymyn_bg

cynnyrch

8T49N222B-101NLGI Cylchdaith Integredig Cydrannau Electronig DIP Newydd a Gwreiddiol mewn stoc ar gyfer IC Chip 8T49N222B-101NLGI

disgrifiad byr:


Manylion Cynnyrch

Tagiau Cynnyrch

Nodweddion Cynnyrch

MATH DISGRIFIAD
Categori Cylchedau Integredig (ICs)

Cloc/Amser

Cynhyrchwyr Cloc, PLLs, Syntheseisyddion Amlder

Mfr Mae Renesas Electronics America Inc
Cyfres FemtoClock® NG
Pecyn Hambwrdd
Statws Cynnyrch Darfodedig
PLL Oes gyda Ffordd Osgoi
Mewnbwn HCSL, LVDS, LVHSTL, LVPECL
Allbwn LVDS
Nifer y Cylchedau 1
Cymhareb - Mewnbwn: Allbwn 2:2
Gwahaniaethol - Mewnbwn: Allbwn Ydw/Ydw
Amlder - Uchafswm 125MHz
Rhannwr/Lluosydd Ydw/Nac ydw
Foltedd - Cyflenwad 2.375V ~ 3.465V
Tymheredd Gweithredu -40 ° C ~ 85 ° C
Math Mowntio Mount Wyneb
Pecyn / Achos Pad Agored 48-VFQFN
Pecyn Dyfais Cyflenwr 48- VQFN (7×7)
Rhif Cynnyrch Sylfaenol 8T49N222

Dogfennau a'r Cyfryngau

MATH O ADNODDAU CYSYLLTIAD
Taflenni data IDT8T49N222I

Trosolwg Ffabrig Amseru

FemtoClock NG Canllaw Archebu UFT

RhTC Darfodiad/ EOL Mult Dev EOL 19/Ion/2022

Mult Dev EOL 28/Chwef/2022

Cynulliad / Tarddiad PCN VFQFPN 21/Rhag/2016
Taflen ddata HTML Trosolwg Ffabrig Amseru

Dosbarthiadau Amgylcheddol ac Allforio

NODWEDDIAD DISGRIFIAD
Statws RoHS Cydymffurfio â ROHS3
Lefel Sensitifrwydd Lleithder (MSL) 3 (168 awr)
Statws REACH REACH Heb ei effeithio
ECCN EAR99
HTSUS 8542.39.0001

Adnoddau Ychwanegol

NODWEDDIAD DISGRIFIAD
Enwau Eraill IDT8T49N222B-101NLGI

IDT8T49N222B-101NLGI-ND

Pecyn Safonol 260

Cynhyrchwyr Cloc

Mae gan Infineon bortffolio eang o generaduron cloc gyda chefnogaeth amledd o 700 MHz a jitter cyfnod RMS o lai na 0.7 ps.Maent yn cefnogi llu o nodweddion gwerth ychwanegol megis VCXO, Sbectrwm Lledaenu ac Aliniad Cyfnod Allbwn, ynghyd â chlociau cyfeirio ategol ar gyfer safonau rhyngwyneb poblogaidd fel PCIe 1.0/2.0/3.0, 10 GbE, SATA 1.0/2.0 a USB 1.0/2.0 /3.0.Mae gennym gynhyrchion gradd fasnachol, diwydiannol a modurol.

Gellir dosbarthu generaduron cloc yn fras yn ddau gategori: Lleihad EMI (Gallu Lledaeniad-Sbectrwm), a Gostyngiad Di-EMI.Mae cymwysiadau targed ar gyfer y dyfeisiau hyn yn cynnwys modurol, diwydiannol, defnyddwyr a rhwydweithio.

Mae dyfeisiau defnyddwyr yn dod yn fwy cyfoethog o ran nodweddion a chysylltiedig.Mae rhannu cynnwys cyfryngau cydraniad uchel yn gofyn am safonau trosglwyddo data cyflymach, a dyfeisiau a all gefnogi safonau data lluosog, pob un â gofynion amseru penodol.Dyma'n union pam y gwnaethom ddylunio Generadur Cloc Perfformiad Uchel CY274x.Mae'n cwrdd â gofynion amseru systemau infotainment ceir, offer meddygol, argraffwyr aml-swyddogaeth, gweinyddwyr darlledu cyfryngau, offer prawf, systemau camera, awyrofod ac amddiffyn, a llawer mwy o gymwysiadau.Mae'r ddyfais yn AEC-Q100 Cymwys.

Syntheseisyddion Amlder

Gellir llunio lluosydd amlder dewis-amledd gyda system PLL trwy fewnosod rhannwr amledd y tu mewn i'r adborth rhwng mewnbwn y canfodydd cam a'r allbwn VCO.Mae'r ffigur isod yn dangos y diagram sgematig o syntheseisydd amledd isel gyda chylched rhannwr rhaglenadwy tri degawd.

Mae gan y modwlws amledd-rhannwr N werth rhwng 3 a 999 gyda chynyddiad un camau.Mewn cyflwr wedi'i gloi, mae'r cymharydd a'r signal ar yr un amledd ag f=N*1kHZ. Felly mae gennym syntheseisydd amledd gydag ystod 3KHZ i 999 KHZ gyda hicyn 1-KHZ, y gellir ei raglennu gan leoliad switsh y rhaniad -n cownter.

Mae'r gylched hon yn defnyddio cymharydd cam II oherwydd ni ddylai syntheseisydd amledd gloi ar harmonigau amledd cyfeirio mewnbwn signal.Ni allwn ddefnyddio cymharydd cyfnod I oherwydd ei fod yn cloi ar harmonics.Mae cymharydd cam II yn cyfateb i'r cais hwn oherwydd nid yw ffactor gweithredol allbwn y rhannwr amlder rhannu-wrth-n yn 50%.Mae'r VCO wedi'i osod gan gymharydd Cam II, i gwmpasu ystod o 0 MHz i 1.1 MHz.Mae gan y cais hwn ddau begwn o'r LPF.Er mwyn cloi yn gyflymach ar gyfer newidiadau sylweddol mewn amlder mae gan y rhaglen hon hidlydd tag-plwm.[Ffynhonnell diagram sgematig: Adroddiad Cais Texas Instruments]


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom