gorchymyn_bg

cynnyrch

DS90UB936TRGZTQ1 S VQFN-64 UB947Q Rhyngwyneb sglodion IC

disgrifiad byr:

Mae gan y gylched rhyngwyneb y swyddogaethau canlynol

Gosodwch y rhesymeg storio data a byffer i addasu i'r gwahaniaeth cyflymder rhwng y CPU a'r ddyfais allanol, mae'r rhyngwyneb fel arfer yn cynnwys nifer o gofrestrau neu sglodion RAM, cyn belled â bod y sglodion hyn yn ddigon mawr, gellir trosglwyddo data swp;


Manylion Cynnyrch

Tagiau Cynnyrch

Nodweddion Cynnyrch

MATH DISGRIFIAD
Categori Cylchedau Integredig (ICs)
  Rhyngwyneb - Serializers, Deserializers
Mfr Offerynnau Texas
Cyfres Modurol, AEC-Q100
Pecyn Tâp a Rîl (TR)
  Tâp Torri (CT)
Statws Rhan Actif
Swyddogaeth Serializer
Cyfradd Data 3.36Gbps
Math Mewnbwn LVDS
Math o Allbwn FPD-Cyswllt III, LVDS
Nifer y Mewnbynnau 8
Nifer yr Allbynnau 2
Foltedd - Cyflenwad 1.71V ~ 1.89V
Tymheredd Gweithredu islaw sero 40°C ~ 105°C (TJ)
Math Mowntio Mount Wyneb
Pecyn / Achos Pad Agored 64-VFQFN
Pecyn Dyfais Cyflenwr 64-VQFN (9x9)
Rhif Cynnyrch Sylfaenol DS90UB947

Math Cylchdaith Integredig

Trosi fformatau gwybodaeth, megis trosi cyfresol a chyfochrog;

Y gallu i gysoni gwahaniaethau math a lefel rhwng CPUS a perifferolion mewn gwybodaeth.Er enghraifft, gyrwyr trosi llorweddol, trawsnewidwyr d/A neu A/D, ac ati;

Cysoni gwahaniaethau amser

Swyddogaeth datgodio cyfeiriadau a dethol

Gosodwch y rhesymeg rheoli ymyrraeth a DMA i sicrhau bod y signalau ymyrraeth a chais DMA yn cael eu cynhyrchu gyda chaniatâd DMA, a bod prosesu ymyrraeth a throsglwyddo DMA yn cael eu cwblhau ar ôl i'r ymyrraeth a'r ateb DMA gael eu derbyn.

Mae rhyngwyneb mewnbwn/allbwn yn gylched electronig, fel arfer sglodion IC neu fwrdd rhyngwyneb, sy'n cynnwys cofrestrau arbennig a chylchedau rhesymeg rheoli cyfatebol.Dyma'r cyfrwng a'r bont ar gyfer cyfnewid gwybodaeth rhwng y CPU a dyfeisiau mewnbwn/allbwn.Mae angen cwblhau'r CYSYLLTIAD rhwng CPU a dyfeisiau allanol, cof a chyfnewid data trwy ddyfais rhyngwyneb, gelwir y cyntaf yn rhyngwyneb I / O, gelwir yr olaf yn rhyngwyneb cof.Cof yn rheoli synchronous yn gyffredinol gan CPU, cylched rhyngwyneb yn gymharol syml;Mae cylched y rhyngwyneb yn amrywio yn ôl y math o ddyfais I / O, felly cyfeirir at ryngwyneb yn gonfensiynol fel rhyngwyneb I / O.Mae'r caledwedd rhyngwyneb I/O canlynol yn bennaf

Mae dau ddull integreiddio ar gyfer dyfeisiau ffotonig sy'n seiliedig ar silicon a chylchedau CMOS.

Mantais y cyntaf yw y gellir optimeiddio'r dyfeisiau ffotonig a dyfeisiau electronig ar wahân, ond mae'r pecynnu dilynol yn anodd ac mae cymwysiadau masnachol yn gyfyngedig.Mae'r olaf yn anodd dylunio a phrosesu integreiddio'r ddau ddyfais.Ar hyn o bryd, cynulliad hybrid yn seiliedig ar integreiddio gronynnau niwclear yw'r dewis gorau

eg 1.Sglodyn rhyngwyneb mewnbwn/allbwn

Mae'r rhan fwyaf o sglodion yn gylchedau integredig, maen nhw'n mewnbynnu gwahanol gyfarwyddiadau a pharamedrau trwy'r CPU, ac yn rheoli'r gylched I / O berthnasol a dyfeisiau allanol syml ar gyfer gweithrediadau cyfatebol, mae gan sglodion rhyngwyneb cyffredin amseriad / cownter, rheolydd ymyrraeth, rheolydd DMA, rhyngwyneb cyfochrog ac ati. ymlaen.

Ee 2. Cerdyn rheoli rhyngwyneb mewnbwn/allbwn

Yn dibynnu ar rywfaint o resymeg, gall sawl cylched integredig ddod yn un rhan, naill ai wedi'u cysylltu'n uniongyrchol â'r CPU, neu gellir gosod ategion mewn slotiau ar y system.


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom