gorchymyn_bg

cynnyrch

(Cydrannau Electronig) 5V927PGGI8

disgrifiad byr:


Manylion Cynnyrch

Tagiau Cynnyrch

Nodweddion Cynnyrch

MATH DISGRIFIAD
Categori Cylchedau Integredig (ICs)

Cloc/Amser

Cynhyrchwyr Cloc, PLLs, Syntheseisyddion Amlder

Mfr Mae Renesas Electronics America Inc
Cyfres -
Pecyn Tâp a Rîl (TR)
Statws Cynnyrch Darfodedig
Math Generadur Cloc
PLL Oes gyda Ffordd Osgoi
Mewnbwn LVTTL, Grisial
Allbwn LVTTL
Nifer y Cylchedau 1
Cymhareb - Mewnbwn: Allbwn 2:4
Gwahaniaethol - Mewnbwn: Allbwn Na/Na
Amlder - Uchafswm 160MHz
Rhannwr/Lluosydd Ydw/Nac ydw
Foltedd - Cyflenwad 3V ~ 3.6V
Tymheredd Gweithredu -40 ° C ~ 85 ° C
Math Mowntio Mount Wyneb
Pecyn / Achos 16-TSSOP (0.173″, lled 4.40mm)
Pecyn Dyfais Cyflenwr 16-TSSOP
Rhif Cynnyrch Sylfaenol IDT5V927

Dogfennau a'r Cyfryngau

MATH O ADNODDAU CYSYLLTIAD
Taflenni data IDT5V927
RhTC Darfodiad/ EOL Diwygiad 23/Rhag/2013

Dyfeisiau Lluosog 28/Hydref/2013

Taflen ddata HTML IDT5V927

Dosbarthiadau Amgylcheddol ac Allforio

NODWEDDIAD DISGRIFIAD
Lefel Sensitifrwydd Lleithder (MSL) 1 (Anghyfyngedig)
Statws REACH REACH Heb ei effeithio
ECCN EAR99
HTSUS 8542.39.0001

Adnoddau Ychwanegol

NODWEDDIAD DISGRIFIAD
Enwau Eraill 5V927PGGI8
Pecyn Safonol 4,000

Manylion Cynnyrch
PROSESYDD ARWYDDION DIGIDOL 24-BIT

Mae'r Motorola DSP56307, aelod o'r teulu DSP56300 o broseswyr signal digidol rhaglenadwy (DSPs), yn cefnogi cymwysiadau seilwaith diwifr gyda gweithrediadau hidlo cyffredinol.Mae'r cydbrosesydd hidlo uwch ar sglodion (EFCOP) yn prosesu algorithmau hidlo ochr yn ochr â gweithrediad craidd, gan gynyddu perfformiad ac effeithlonrwydd DSP cyffredinol.Fel aelodau eraill y teulu, mae'r DSP56307 yn defnyddio injan un-cloc-fesul-cyfarwyddyd perfformiad uchel (cod sy'n gydnaws â theulu craidd poblogaidd Motorolas DSP56000), symudwr casgen, cyfeiriad 24-did, storfa gyfarwyddiadau, a rheolydd mynediad cof uniongyrchol, fel yn Ffigur 1. Mae'r DSP56307 yn cynnig perfformiad ar 100 miliwn o gyfarwyddiadau (MIPS) yr eiliad gan ddefnyddio cloc 100 MHz mewnol gyda chraidd 2.5 folt a phŵer mewnbwn/allbwn annibynnol 3.3 folt.

Trosolwg
Gan ddefnyddio pensaernïaeth seiliedig ar golofn ASMBL (Bloc Modiwlar Silicon Uwch) ail genhedlaeth, mae'r XC5VLX330T-3FFG1738I yn cynnwys pum platfform gwahanol (is-deuluoedd), y dewis mwyaf a gynigir gan unrhyw deulu FPGA.Mae pob platfform yn cynnwys cymhareb wahanol o nodweddion i fynd i'r afael ag anghenion amrywiaeth eang o ddyluniadau rhesymeg uwch.Yn ogystal â'r ffabrig rhesymeg perfformiad uchel mwyaf datblygedig, mae XC5VLX330T-3FFG1738I FPGAs yn cynnwys llawer o flociau lefel system IP caled, gan gynnwys RAM / FIFOs bloc 36-Kbit pwerus, sleisys ail genhedlaeth 25 x 18 DSP, Dewiswch dechnoleg IO gyda adeiledig. mewn rhwystriant a reolir yn ddigidol, blociau rhyngwyneb cydamserol ffynhonnell Chip Sync, ymarferoldeb monitro system,

NODWEDDION
Perfformiad Uchel DSP56300 Craidd
● 100 miliwn o gyfarwyddiadau yr eiliad (MIPS) gyda chloc 100 MHz ar graidd 2.5 V a 3.3 VI/O
● Cod gwrthrych sy'n gydnaws â'r craidd DSP56000
● Set gyfarwyddiadau cyfochrog iawn
● Uned resymeg rhifyddol data (ALU)
- Lluosydd-cronadur cyfochrog 24 x 24-did wedi'i biblinellu'n llawn
- Symudwr casgen gyfochrog 56-did (symud cyflym a normaleiddio; cynhyrchu llif did a dosrannu)
- Cyfarwyddiadau ALU amodol
- Cefnogaeth rhifyddeg 24-did neu 16-did o dan reolaeth meddalwedd
● Uned rheoli rhaglen (PCU)
- Cefnogaeth cod annibynnol sefyllfa (PIC).
- Mynd i'r afael â moddau sydd wedi'u optimeiddio ar gyfer cymwysiadau DSP (gan gynnwys gwrthbwyso ar unwaith)
- Rheolydd storfa cyfarwyddiadau ar sglodion
- Stack caledwedd cof-ehangadwy ar sglodion
- Dolenni DO caledwedd nythu
- Ymyriadau dychwelyd awtomatig cyflym
● Mynediad cof uniongyrchol (DMA)
- Chwe sianel DMA yn cefnogi mynediad mewnol ac allanol
- Trosglwyddiadau un, dau a thri dimensiwn (gan gynnwys byffro cylchol)
- Ymyriadau diwedd bloc-trosglwyddo
- Sbardun o linellau ymyrraeth a phob perifferolion
● Dolen wedi'i chloi fesul cam (PLL)
- Yn caniatáu newid ffactor rhannu pŵer isel (DF) heb golli clo
- Cloc allbwn gyda dileu sgiw
● Cefnogaeth debugging caledwedd
- Modiwl Efelychu Ar-Sglodion (Ar CE).
- Porth mynediad prawf (TAP) grŵp gweithredu prawf ar y cyd (JTAG)
- Mae modd olrhain cyfeiriad yn adlewyrchu mynediadau RAM Rhaglen mewnol yn y porthladd allanol


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom