gorchymyn_bg

cynnyrch

XCVU9P-2FLGA2104I - Cylchedau Integredig, Mewnblanedig, FPGA (Arae Gât Rhaglenadwy Maes)

disgrifiad byr:

Mae'r FPGAs Xilinx® Virtex® UltraScale+™ ar gael mewn graddau cyflymder -3, -2, -1, gyda dyfeisiau -3E â'r perfformiad uchaf.Gall y dyfeisiau -2LE weithredu ar foltedd VCCINT ar 0.85V neu 0.72V a darparu pŵer statig uchaf is.Pan gaiff ei weithredu yn VCCINT = 0.85V, gan ddefnyddio dyfeisiau -2LE, mae'r fanyleb cyflymder ar gyfer y dyfeisiau L yr un fath â'r radd cyflymder -2I.Pan gaiff ei weithredu yn VCCINT = 0.72V, mae'r perfformiad -2LE a phŵer statig a deinamig yn cael ei leihau.Mae nodweddion DC ac AC wedi'u pennu yn ystodau tymheredd estynedig (E), diwydiannol (I), a milwrol (M).Ac eithrio'r ystod tymheredd gweithredu neu oni nodir yn wahanol, mae'r holl baramedrau trydanol DC ac AC yr un peth ar gyfer gradd cyflymder penodol (hynny yw, mae nodweddion amseru dyfais estynedig gradd cyflymder -1 yr un fath ag ar gyfer gradd cyflymder -1 dyfais ddiwydiannol).Fodd bynnag, dim ond graddau cyflymder a/neu ddyfeisiau dethol sydd ar gael ym mhob ystod tymheredd.


Manylion Cynnyrch

Tagiau Cynnyrch

Nodweddion Cynnyrch

MATH DISGRIFIAD
Categori Cylchedau Integredig (ICs)

Gwreiddio

FPGAs (Arae Gât Rhaglenadwy Maes)

Mfr AMD
Cyfres Virtex® UltraScale+™
Pecyn Hambwrdd
Statws Cynnyrch Actif
Rhaglenadwy DigiKey Heb ei Wirio
Nifer y LABs/CLBs 147780
Nifer yr Elfennau Rhesymeg/Celloedd 2586150
Cyfanswm Darnau RAM 391168000
Nifer yr I/O 416
Foltedd - Cyflenwad 0.825V ~ 0.876V
Math Mowntio Mount Wyneb
Tymheredd Gweithredu -40 ° C ~ 100 ° C (TJ)
Pecyn / Achos 2104-BBGA, FCBGA
Pecyn Dyfais Cyflenwr 2104-FCBGA (47.5x47.5)
Rhif Cynnyrch Sylfaenol XCVU9

Dogfennau a'r Cyfryngau

MATH O ADNODDAU CYSYLLTIAD
Taflenni data Taflen ddata Virtex UltraScale+ FPGA
Gwybodaeth Amgylcheddol Tystysgrif RoHS Xiliinx

Xilinx REACH211 Cert

Modelau EDA XCVU9P-2FLGA2104I gan SnapEDA

XCVU9P-2FLGA2104I gan Lyfrgellydd Ultra

Dosbarthiadau Amgylcheddol ac Allforio

NODWEDDIAD DISGRIFIAD
Statws RoHS Cydymffurfio â ROHS3
Lefel Sensitifrwydd Lleithder (MSL) 4 (72 Awr)
ECCN 3A001A7B
HTSUS 8542.39.0001

 

FPGAs

Egwyddor gweithredu:
Mae FPGAs yn defnyddio cysyniad fel yr Arae Celloedd Rhesymeg (LCA), sy'n cynnwys tair rhan yn fewnol: y Bloc Rhesymeg Ffurfweddadwy (CLB), y Bloc Mewnbwn Allbwn (IOB) a'r Rhyng-gysylltu Mewnol.Mae Araeau Gatiau Rhaglenadwy Maes (FPGAs) yn ddyfeisiadau rhaglenadwy gyda phensaernïaeth wahanol i gylchedau rhesymeg traddodiadol ac araeau gatiau megis dyfeisiau PAL, GAL a CPLD.Gweithredir rhesymeg y FPGA trwy lwytho'r celloedd cof statig mewnol â data wedi'i raglennu, mae'r gwerthoedd a storir yn y celloedd cof yn pennu swyddogaeth resymeg y celloedd rhesymeg a'r ffordd y mae'r modiwlau wedi'u cysylltu â'i gilydd neu â'r I / O.Mae'r gwerthoedd sy'n cael eu storio yn y celloedd cof yn pennu swyddogaeth resymegol y celloedd rhesymeg a'r ffordd y mae'r modiwlau'n gysylltiedig â'i gilydd neu â'r I/Os, ac yn y pen draw y swyddogaethau y gellir eu gweithredu yn y FPGA, sy'n caniatáu rhaglennu diderfyn .

Dyluniad sglodion:
O'i gymharu â mathau eraill o ddyluniad sglodion, fel arfer mae angen trothwy uwch a llif dylunio sylfaenol mwy trylwyr o ran sglodion FPGA.Yn benodol, dylai'r dyluniad gael ei gysylltu'n agos â sgematig FPGA, sy'n caniatáu ar gyfer graddfa fwy o ddyluniad sglodion arbennig.Trwy ddefnyddio Matlab ac algorithmau dylunio arbennig yn C, dylai fod yn bosibl cyflawni trawsnewidiad llyfn i bob cyfeiriad a thrwy hynny sicrhau ei fod yn unol â meddwl dylunio sglodion prif ffrwd cyfredol.Os yw hyn yn wir, yna fel arfer mae angen canolbwyntio ar integreiddio cydrannau yn drefnus a'r iaith ddylunio gyfatebol i sicrhau dyluniad sglodion defnyddiadwy a darllenadwy.Mae defnyddio FPGAs yn galluogi dadfygio bwrdd, efelychu cod a gweithrediadau dylunio cysylltiedig eraill i sicrhau bod y cod presennol wedi'i ysgrifennu mewn ffordd a bod yr ateb dylunio yn bodloni'r gofynion dylunio penodol.Yn ogystal â hyn, dylid blaenoriaethu'r algorithmau dylunio er mwyn gwneud y gorau o ddyluniad y prosiect ac effeithiolrwydd y gweithrediad sglodion.Fel dylunydd, y cam cyntaf yw adeiladu modiwl algorithm penodol y mae'r cod sglodion yn gysylltiedig ag ef.Mae hyn oherwydd bod cod wedi'i gynllunio ymlaen llaw yn helpu i sicrhau dibynadwyedd yr algorithm ac yn gwneud y gorau o ddyluniad cyffredinol y sglodion yn sylweddol.Gyda dadfygio bwrdd llawn a phrofion efelychu, dylai fod yn bosibl lleihau'r amser beicio a ddefnyddir wrth ddylunio'r sglodyn cyfan yn y ffynhonnell a gwneud y gorau o strwythur cyffredinol y caledwedd presennol.Defnyddir y model dylunio cynnyrch newydd hwn yn aml, er enghraifft, wrth ddatblygu rhyngwynebau caledwedd ansafonol.

Y brif her yn nyluniad FPGA yw dod yn gyfarwydd â'r system galedwedd a'i hadnoddau mewnol, i sicrhau bod yr iaith ddylunio yn galluogi cydgysylltu cydrannau'n effeithiol ac i wella darllenadwyedd a defnydd y rhaglen.Mae hyn hefyd yn rhoi pwysau mawr ar y dylunydd, y mae angen iddo ennill profiad mewn prosiectau lluosog i fodloni'r gofynion.

 Mae angen i ddyluniad yr algorithm ganolbwyntio ar resymoldeb i sicrhau bod y prosiect yn cael ei gwblhau'n derfynol, i gynnig ateb i'r broblem yn seiliedig ar sefyllfa wirioneddol y prosiect, ac i wella effeithlonrwydd gweithrediad FPGA.Ar ôl penderfynu ar yr algorithm dylai fod yn rhesymol i adeiladu'r modiwl, er mwyn hwyluso'r dyluniad cod yn ddiweddarach.Gellir defnyddio cod a gynlluniwyd ymlaen llaw wrth ddylunio cod i wella effeithlonrwydd a dibynadwyedd.Yn wahanol i ASICs, mae gan FPGAs gylch datblygu byrrach a gellir eu cyfuno â gofynion dylunio i newid strwythur y caledwedd, a all helpu cwmnïau i lansio cynhyrchion newydd yn gyflym a diwallu anghenion datblygu rhyngwyneb ansafonol pan nad yw protocolau cyfathrebu yn aeddfed.


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom